西部热线 | 助力西部开发,关注西部民生! |
adtop
adtop01
当前位置: 西部热线 > 新闻

揭秘Chiplet芯片技术:摩尔定律拯救者,两大阵营、六个核心玩家

作者:宋元明清    栏目:新闻    来源:IT之家    发布时间:2022-10-16 12:04

小芯片技术的出现是优化生产效率需求下产业链的必然选择,其技术核心在于实现芯片之间的高速互联所以UCIe在具体的包装模式上并没有对其成员进行严格的限制,业内也有两大阵营

揭秘Chiplet芯片技术:摩尔定律拯救者,两大阵营、六个核心玩家

晶圆厂阵营主要用大面积硅夹层实现互连,可以提供更高速的连接和更好的扩展性,而封装厂阵营则努力降低对硅片加工的需求,提出更便宜,性价比更高的方案,晶圆厂和封装厂都在寻求在小芯片时代获得更高比例的产业链价值国内,长电科技引进了TSV—less先进封装方案XDFOI,引领产业发展,通富微电子通过其优秀的晶圆级封装能力,绑定AMD实现高速增长

1.制造级小芯片异质性的效率优化

实际上,小芯片最初的概念原型来自戈登·摩尔1965年的论文将更多的组件塞入集成电路,在这篇论文中,戈登·摩尔不仅提出了著名的摩尔定律,还指出用更小的功能建立一个大系统,这些功能被单独包装并相互联系起来,这样更经济。

2015年,Marvell周秀文博士在ISSCC大会上提出了MoChi的概念,为Chiplet的出现奠定了基础我们认为,现代信息技术产业的发展不是一个探索未知的过程,而是一个需求驱动的技术升级小芯片技术的出现是优化生产效率需求下产业链的必然选择

一种串行设备,其中计算机可以根据一系列指令发出指令并自动执行任何算术或逻辑运算日常生活中,我们使用的任何电子系统都可以看作是电脑,比如电脑,手机,平板,微波炉,遥控器等,所有这些都包含作为核心控制设备的计算机系统

小芯片的出现离不开两大趋势:

1)计算机系统的异构和集成程度越来越高。

为了理解业界为什么一定要选择Chiplet,这份报告从计算机架构的角度出发本报告将首先阐明计算机体系结构的一个重要发展思路——异构计算就像现代经济系统一样,现代经济系统为了追求更高的产出效率,产生了庞大而复杂的产业分工体系,计算机系统的再分配就是异构计算

GPU和DPU的出现是为了弥补CPU在图形计算和数据处理方面的不足,让CPU专注于逻辑判断和执行这是电脑系统精细的分工也让整个系统变得庞大在小型计算设备中,不同的芯片只能集成到一个芯片上,形成SoC(片上系统)

SoC的概念

伴随着计算机在现代人类生活中承担越来越多的处理工作,计算机架构的异构化趋势会越来越明显,所需的芯片面积也会越来越大同时,还需要将电源管理IC等芯片与逻辑芯片异构集成作为单个芯片,SoC的面积和处理方式都是有限的,所以SoC并不是异构的最终解决方案

2)芯片间数据通路的带宽和延迟问题已经被业界解决。

芯片的工作是执行指令和处理数据芯片之间的互连需要巨大的带宽和超低的延迟由于单个芯片的面积不可能无限增加,因此将一个芯片拆成多个芯片,分别制造,然后封装在一起,这是一个很自然的想法芯片间的互联需要构建一个强大的数据通道,即超高频,超大带宽,超低延迟,这也是以TSMC考沃斯技术为代表的先进封装技术所解决的

基于高级封装的HBM2为芯片提供了307GB/s的高速带宽。

2022年3月,苹果发布M1 Ultra芯片,采用UltraFusion封装架构,由两个M1 Max芯片互联在架构上,M1超使用20核CPU,其中包括16个高性能核心和4个高能效核心与市面上功耗相近的16核CPU芯片相比,M1Ultra的性能高出90%两个M1 Max芯片的高速互联是苹果芯片领先的关键苹果的UltraFusion架构使用硅中间层连接多个芯片,可以同时传输超过10000个信号,从而实现高达2.5 TB/s的低延迟处理器互连带宽

历代M1芯片内部结构图,M1 Ultra由两片M1 Max芯片拼接而成。

为了缓解存储墙问题,AMD基于Zen 3的锐龙7 5800X3D桌面处理器率先采用了3D堆栈式L3缓存,使CPU能够访问高达96MB的L3缓存,大大提高了芯片计算效率。

AMD Zen 3小芯片

3)异构集成和高速互连塑造了Chiplet,芯片行业的里程碑。

综上所述,Chiplet本身不是技术突破,而是多项技术迭代塑造的里程碑,领先的芯片企业仍然拥有话语权,所以,小芯片技术短期内不会给行业带来太多直接的影响和改变,但长期来看肯定会改变全球IC产业生态同时,由于Chiplet在设计,制造,封装等各方面都有成熟的技术支持,所以推广会非常迅速

小芯片是PCB的集成还原,SoC的解构放大。

技术服务于需求,小芯片的出现缓解了计算能力对晶体管数量的依赖和晶圆制造瓶颈之间的矛盾如前所述,导致小芯片技术出现的需求决定了它对行业的影响伴随着现代数据处理任务对计算能力的需求越来越大,本质上,计算能力提升的核心是晶体管数量的增加

英特尔的创始人之一戈登·摩尔在最初的模型中指出,无论是从技术角度还是成本角度,单个芯片上的晶体管数量都不可能无限增加因此,业界在致力于提高晶体管密度的同时,也在尝试其他软硬件方法来提高芯片运行效率,比如异构计算,分布式计算等等

晶体管生产的单位价格与芯片上晶体管数量之间的关系

小芯片是异构计算的延伸,主要解决芯片制造中的效率问题。当进程收缩时,核心

芯片的制造有两大瓶颈:1)28nm之后,高工艺芯片中晶体管的性价比不会提高,2)芯片设计成本大增,先进工艺芯片设计的沉没成本高得令人无法接受。

28nm节点后,每道工序的百万芯片制造成本不会降低。

先进工艺芯片设计的成本正在快速上升。

关于小芯片如何提高设计和生产效率,以及对EDA,IC设计等行业的影响,

基于小芯片的面积优势,小芯片可以大大提高大芯片的良率,提高晶圆面积的利用效率,降低成本。

基于芯片组成的灵活性,SoC小芯片化后,可以通过选择合适的工艺分别制造不同的核/核,然后采用先进的封装技术进行封装不需要把所有的先进工艺都集成在一个晶圆上,可以大大降低芯片的制造成本

基于小芯片ip的可重用性和已验证特性,将大规模SoC按照不同的功能模块分解成模块化内核,可以降低设计复杂度和成本,提高产品迭代速度。

与32核SoC相比,小芯片可以大大降低芯片制造成本。

虽然总制造成本得到了优化,但伴随着先进封装在小芯片制造过程中发挥更重要的作用,封装和测试企业可能会从小芯片的趋势中受益匪浅在小芯片封装领域,目前百花齐放芯片的核心是实现芯片间的高速互联,同时兼顾多芯片互联后的重新布线因此,UCIe联盟在具体的包装方式上并没有对其成员进行严格的限制

1)标准封装:芯片之间的金属线埋在封装基板中2)使用硅桥连接芯片,并将硅桥嵌入封装基板,如Intel EMIB方案3)使用硅转接板连接芯片并重新布线,然后将硅转接板封装在基板上,如TSMC的CoWoS方案4)利用扇出中间层进行重新布线,仅在芯片连接处使用硅桥连接,如:Sunmoon FOCoS—B方案

UCIe联盟推荐的四种小芯片封装方法

目前,TSMC的CoWoS技术平台凭借其在代工领域的优势,已经服务了很多客户,迭代了很多批次s CoWoS平台的核心在于硅中间层,其生产主要通过在硅片上刻蚀TSV通孔来实现技术难点主要是实现高深宽比通孔和高密度引脚的对准在制造出芯片转接板后,将被送到封装厂进行封装

Chiplet封装层面的技术核心是芯片之间的互连芯片所能达到的芯片间数据传输的速度和延迟是技术竞争力的关键同时,该方案的稳定性和普适性将深刻影响其长期发展空间

2.全球格局中的两大阵营,相互竞争。

实现小芯片所依赖的先进封装技术在产业链上仍未统一,主要分为fab阵营和封装工厂阵营:fab阵营专注于硅片加工的互联互通,可以提供更高速的连接和更好的扩展性,封装阵营力求降低硅片加工需求,提出更便宜,性价比更高的方案。

TSMC:集成3DFabric平台,实现丰富的拓扑组合在2.5D和3D先进封装技术方面,TSMC将2.5D和3D先进封装相关技术整合到一个3DFabric平台,客户可以自由选择前端技术包括3D集成芯片系统,后端技术包括2D/2.5D集成扇出(InFO)和2.5D CoWoS家族

台积电3DFabric平台

2.5D,TSMC提供两大解决方案,包括CoWoS和InFO其中,CoWoS包括CoWoS— S,CoWoS—R和cowos—l

CoWoS—S采用硅中间层,用硅片作为中间层连接小芯片与其他方案相比,以大面积硅片作为中间层的方案可以提供更高密度的芯片互连,但也更昂贵

台积电CoWoS—S架构

CoWoS—R采用有机转接板降低成本,其封装方案与部分封装测试厂提供的方案一致,有机转接板互连密度更低。

CoWoS—L使用一个插入有机插入物的小硅桥,只在芯片互连部分使用硅芯片,用于相邻芯片边缘之间的高密度互连实现互联的方式在成本和性能上介于CoWoS—R和CoWoS—S之间

InFO方面,将TSMC精确放置在临时载体上后,将芯片封装在环氧树脂晶圆中,在重建的晶圆表面增加一个重分布互连层,封装凸点直接连接到重分布层,主要包括三种拓扑结构:InFO_PoP(主要针对移动平台),InFO_oS(主要针对HPC客户)和InFO _ b(InFO _ PoP的替代方案)。

台积电InFO_PoP和InFO_B架构

台积电信息操作系统架构

TSMC更先进的垂直芯片堆叠3D拓扑封装系列被称为系统级集成芯片,芯片之间采用直接铜键合,间距更小。

Tsmc 3D芯片堆叠SoIC

三星:3D IC封装方案加强小芯片代工产业布局三星从1990年开始封装技术的研发,现在已经通过SiP实现了高端封装技术的演进下图总结了主要的技术趋势

三星电子封装布局的历史演变

2020年8月,三星公布了X Cube 3D封装技术在芯片互连方面,采用了硅通孔的成熟TSV工艺目前X Cube已经可以在三星生产的采用7nm EUV技术的逻辑芯片上堆叠SRAM芯片,可以更容易地扩展SRAM的容量,缩短信号连接距离,从而提高数据传输速度和能效此后,释放I—Cube以在硅中间层中水平放置一个或多个逻辑管芯和多个HBM管芯用于异质集成

三星电子3D IC解决方案

月光:FOCoS方案力求降低硅和成本Moonlight的FOCoS提供了一种硅桥技术,称为FOCoS—B,用于实现小芯片的集成它使用带有布线层的微型硅芯片作为小芯片之间的封装内互连,如GPU和HBM硅桥嵌入扇出RDL层,是不使用硅夹层的2.5D封装方案

FOCoS的硅桥在封装中提供超细间距互连,可以解决系统中的存储器带宽瓶颈挑战FOCoS—B相对于使用硅内插器的2.5D封装,其优势在于需要将两个小芯片连接在一起的区域只使用硅片,可以大大降低成本

月光FOCoS解决方案

Amkor:深度布局无TSV工艺Amkor,该公司在2015年推出了SLIM和SWIFT解决方案,并且技术布局持续,具备2.5D/3D TSV封装能力

Amkor SLIM/SWIFT解决方案

无TSV工艺可用于构建高级3D结构SLIM和SWIFT方案采用无TSV工艺,当使用2.5D TSV硅中间层时,简化了PECVD和CMP工艺

以SWIFT方案为例该方案采用RDL首创技术,RDL线宽和间距能力≤2um,μ凸点间距40umSWIFT封装可以实现多芯片集成的3D POP封装和无TSV(TSV—Less)的HDFO高密度扇出封装,具有成本优势,适用于高性能CPU/GPU,FPGA,移动AP和移动BB等

3D SWIFT的独特之处部分是由于与这种创新的晶圆级封装技术相关的小间距功能它使主动设计规则的应用成为现实,不同于传统的WLFO和基于叠层的封装,可用于构建高级3D结构,以满足新兴移动和网络应用中不断增长的IC集成需求

长电科技:国内包装龙头,TSV少走路线围绕关键应用领域,长电科技在5G通信,高性能计算,消费,汽车,工业等重要领域拥有业界领先的先进半导体封装技术,混合信号/射频集成电路测试和资源优势,并已实现量产,可为市场和客户提供量身定制的技术解决方案

长电科技的历史演变

XDFOI预计2022H2量产与2.5D TSV相比,XDFOI具有更高的性能,更高的可靠性和更低的成本XDFOI是一种基于2.5D无TSV的封装技术在设计上,该技术可以实现3—4层的高密度布线,最小线宽/线间距为2μm,可以实现多层布线

此外,采用极窄间距凸点互连技术,封装尺寸大,可集成多芯片,高带宽存储器和无源器件长电科技已经完成超高密度布线,开始客户样片流程预计2022H2量产其重点应用领域为FPGA,CPU/GPU,AI,5G,自动驾驶,智能医疗等高性能计算

长电科技的扇出晶圆级无硅通孔高密度封装技术,可以在硅内插器中使用堆叠通孔技术,而不是TSV技术该技术可实现多层RDL重布线层,2×2um线宽间距,40um极窄凸点互连,多层芯片堆叠

此外,XDFOI技术中采用的极窄间距凸点互连技术也可以实现44mm×44mm的封装尺寸,支持多芯片,高带宽存储器和无源器件在其中的集成这些优势可以为芯片异构集成提供高性价比,高集成度,高密度互连和高可靠性的解决方案

长电科技XDFOI 2.5D的技术特点

先进的封装测试技术覆盖4nm工艺,突破国内顶级封装工艺节点2022年7月,长电科技宣布在封装测试技术领域取得新突破,实现了4nm工艺手机芯片封装和CPU,GPU,RF芯片一体化封装作为一种先进的硅节点技术,4纳米芯片也是小芯片封装的一部分作为集成电路领域的顶级技术产品之一,可应用于智能手机,5G通信,人工智能,自动驾驶,以及包括GPU,CPU,FPGA,ASIC等产品在内的高性能计算领域

丰富的微功耗:绑定AMD,晶圆级封装助力小芯片全球封装和测试行业的领导者,先进的封装和高品质客户的培养富微电子成立于1997年,2007年在深交所上市主要从事集成电路封装测试集成业务2021年,通富微电子全球OSAT排名第五,先进封装排名第七

目前公司技术布局进展顺利,小芯片产品已经开始大规模生产工艺节点方面,7nm产品已量产,5nm产品已开发得益于公司在封装测试技术上的不断努力,目前公司已与AMD,恩智浦,TI,英飞凌,ST,联发科,展锐,威尔,赵一创新,长信存储,长江存储,吉创北方等国内外各细分领域头部客户建立了良好的合作关系2021年国内客户业务规模增长超100%不断维护业务的压舱石

丰富微电的历史演变

目前,公司已建成国内顶尖的2.5D/3D封装平台和超大型FCBGA R&D平台,完成了高水平的数字重布线技术开发。

富微电子封装技术的最新进展

针对小芯片,通富微电子提供了晶圆级和基板级封装两种解决方案,其中晶圆级TSV技术是小芯片技术路径的重要组成部分WLP晶圆级封装的大部分工艺是将晶圆整体封装,封装完成后再切割成片

晶圆级封装是将多个裸芯片以芯片间共享基板的形式封装在一起,主要用于封装大型高性能芯片使用亚微米硅中间层将多个芯片集成到具有TSV技术的单个封装中可以显著降低材料成本采用无载体技术,经过芯片到晶圆的键合和填隙,整个晶圆由于背面硅穿孔的暴露而被过模翻转,直接用环氧树脂维护

东信认为,在后摩尔时代,Chiplet以其高性能,低功耗,高面积利用率,低成本等优势引起广泛关注,有望延续摩尔定律的经济效益后摩尔时代,小芯片芯片设计可以降低大规模芯片设计的门槛,给中国集成电路产业带来了巨大的发展机遇

adl03
adr1
adr2